Top
首页 > 标签云

CGD 的 ICeGaN HEMT 荣获台积电欧洲创新区“最佳演示”

ICeGaN 在功率晶体管芯片内包含单片集成 GaN 接口电路。这简化了它们的使用方法,使它们能够像硅 MOSFE....

10-09 09:41
0

2纳米之战

01-19 09:53
0

台积电对决三星,4nm制程之王花落谁家?天玑9000交出恐怖答案

众所周知,台积电与三星是目前唯二布局4nm制程市场的芯片代工企业,总产能占比超70%。从某种程度来说,4nm....

11-26 14:51
0

台积电第一季度营收127.3亿美元

对于日前传出台积电12英寸晶圆报价将提高的传闻,莫大康向《中国电子报》记者介绍,台积电长期致力于与....

04-16 14:18
0

出资420亿日元 日本携手台积电筹谋晶圆制造

虽然晶圆制造“外援计划”落空,日本仍然没有放弃台积电这个潜在合作伙伴,转而向先进封装等领域发起攻....

03-30 10:34
0

台积电财报显示:2020年营收同比增长25.17%

知名业内专家莫大康表示,如今台积电在晶圆制造方面几乎有着难以撼动的地位,台积电2019年在全球晶圆代....

03-12 10:15
0

台积电3纳米制程下半年试产

周鹏表示,“当然工艺制程的推进确实带来了性能和技术的质变,据悉,采用3nm GAA技术的先进工艺与5nm的....

03-01 09:58
0

台积电三星英特尔这上千亿美元砸下去,半导体业将再起波澜

半导体专家莫大康指出,半导体生产是一项极其资本密集的业务,并且随着工艺技术的进步和制造工具的价格....

01-22 13:03
0

台积电预计一季度营收127-130亿美元 将继续上涨

1月14日消息,据国外媒体报道,在制程工艺行业领先的推动下,台积电获得了大量的芯片代工订单,他们的....

01-15 09:26
0

消息称英特尔拟委托台积电生产第二代独立显卡DG2

1月14日消息,据国外媒体报道,此前,英特尔曾宣布,它将委托代工合作伙伴代工更多产品,但它并未公开....

01-14 16:46
0

台积电5nm工艺去年四季度营收超过25亿美元 全年营收达到预期

1月14日消息,据国外媒体报道,台积电目前最先进的5nm芯片制程工艺,在去年一季度大规模投产,为苹果、....

01-14 16:45
0

台积电(中国)有限公司副总经理陈平:普及计算时代正在来临

新冠肺炎疫情对全球供应链造成了影响,中美经贸摩擦同样造成了不确定因素,但是2020年全球集成电路市场....

01-04 13:48
0

郭明錤:苹果A14对台积电5nm产能利用率下滑 不代表iPhone 12需求下滑

12月14日消息,据国外媒体报道,分析师郭明錤在一份报告中表示,市场不应过多关注苹果A14处理器对台积....

12-14 10:41
0

外媒:台积电四季度将向苹果交付1.8万片晶圆M1芯片

12月10日消息,据国外媒体报道,在此前的报道中,外媒曾提到台积电5nm工艺产能已接近极限,在为苹果大....

12-10 10:25
0

抢特斯拉饭碗?传苹果与台积电合作开发自动驾驶芯片

12月10日早间消息,据macrumors援引中国台湾媒体Digitimes的消息,苹果正在与台积电合作,开发自动驾驶....

12-10 09:58
0

外媒:四季度台积电7nm工艺最大客户是高通

12月7日消息,据国外媒体报道,5nm是芯片代工商台积电目前最先进的制程工艺,苹果iPhone 12系列所搭载....

12-08 09:54
0

产业链人士:台积电第二代3nm工艺计划2023年推出 苹果率先利用

12月2日消息,据英文媒体报道,在5nm工艺大规模量产,为苹果等厂商代工相关的芯片之后,台积电下一阶段....

12-02 16:53
0

台积电刘德音:公司营收已连续10年创下纪录 今年将再创新高

11月25日消息,据台湾媒体报道,台积电董事长刘德音日前表示,公司营收已连续10年创下纪录,今年将再创....

11-25 13:16
0

台积电正按计划推进3nm工艺在2022年下半年量产

11月25日消息,据国外媒体报道,在今年一季度及二季度的财报分析师电话会议上,台积电CEO魏哲家透露他....

11-25 13:13
0

半导体封测龙头日月光:正评估是否跟进台积电赴美投资设厂

11月20日消息,据台湾媒体报道,半导体封测龙头日月光昨日表示,正在评估是否跟进台积电赴美投资设厂。

11-20 13:46
0

台积电美国晶圆厂落户凤凰城 地方提供2.05亿美元进行基础建设

11月20日消息,据国外媒体报道,亚利桑那州凤凰城将提供2.05亿美元为芯片代工商台积电计划中的美国晶圆....

11-20 13:22
0

研究机构:苹果目前是台积电5nm工艺唯一客户 产能利用率未超过90%

11月20日消息,据国外媒体报道,此前的报道中,曾多次出现台积电5nm工艺产能紧张,众多客户在排队等待....

11-20 13:21
0

美国凤凰城与台积电达成开发协议 建芯片工厂

11月19日消息,据国外媒体报道,周三,亚利桑那州凤凰城的市政官员一致投票批准与芯片制造商台积电的一....

11-19 15:03
0

三星电子奋力赶超台积电 计划2022年量产3纳米芯片

11月19日消息,据国外媒体报道,三星电子正在奋力赶超台积电,计划在2022年量产3纳米芯片。

11-19 13:32
0

台积电对2nm工艺2023年下半年风险试产次年大规模投产很乐观

11月17日消息,据国外媒体报道,在5nm工艺大规模投产之后,芯片代工商台积电制程工艺的研发重点就将转....

11-17 17:12
0

为留住人才 半导体巨头台积电明年将为部分员工涨薪20%

11月16日消息,据台媒报道,半导体巨头台积电发出内部公告,明年1月1日起,将对公司聘用的台湾地区正职....

11-16 16:40
0

外媒:台积电预计5nm芯片四季度出货量将超过15万片晶圆 9成供应苹果

10月16日消息,据国外媒体报道,台积电的5nm工艺,在今年一季度就已大规模投产,为相关客户代工的芯片....

10-16 11:01
0

台积电预计四季度营收124到127亿美元 较三季度更高

10月15日消息,据国外媒体报道,芯片代工商台积电在今日下午发布了三季度的财报,营收121.4亿美元,创....

10-15 17:09
0

台积电发布三季度财报 营收121.4亿美元创新高

10月15日消息,据国外媒体报道,为苹果、AMD等公司代工芯片的台积电,在今日下午发布了三季度的财报,....

10-15 14:03
0

台积电今年9月营收达302.1亿元 同比增长24.9%

台积电9月合并营收约为新台币1,275亿8,500万元(约合人民币302.1亿元),较上月增加了3.8%,较去年同期....

10-09 10:06
0

台积电5nm芯片成本曝光:单片晶圆1.7万美元 近7nm两倍

据美国智库CSET的报告显示,以5nm节点制造的12英寸晶圆成本约1.7万美元(约合人民币11.6万元),远高于7n....

09-29 09:59
0

台积电预计极紫外光刻机累计采购量在明年将超过50台

9月28日消息,据国外媒体报道,在8月份的全球技术论坛期间,台积电曾透露全球目前在运行的极紫外光刻机....

09-28 15:08
0

台积电计划明后两年新投产两座芯片封装工厂 采用3D Fabric封装技术

9月24日消息,据国外媒体报道,台积电近几年在芯片代工方面走在行业前列,他们的技术水平领先,也获得....

09-25 09:56
0

产业链人士:台积电计划年底将7nm工艺月产能提升至14万片晶圆

9月2日消息,据国外媒体报道,台积电2018年4月份投产的7nm工艺,目前仍有庞大的需求,他们也在尽力提高....

09-02 16:18
0

台积电预计5nm占今年16nm及以下晶圆产量的11%

8月27日消息,据国外媒体报道,台积电的5nm工艺在今年一季度已大规模投产,为苹果、华为等厂商代工最新....

08-28 11:10
0

台积电:摩尔定律演进至1纳米没问题

随着台积电不断推进7纳米、5纳米、3纳米等先进工艺,业界对其的关注度不断提升。在8月26日举办的2020世....

08-28 09:27
0

台积电披露5nm3nm工艺性能提升信息 4nm工艺2022年大规模投产

8月26日消息,据国外媒体报道,台积电2020年度全球技术论坛和开放创新平台生态系统论坛24日开始在线举....

08-26 13:11
0

台积电明日举办技术论坛 市场聚焦2nm采取路径等热点

8月24日消息,据台湾媒体报道,台积电明日举行年度技术论坛,CEO魏哲家亲自开讲,分享产业现况及台积电....

08-24 11:10
0

台积电已生产10亿颗7nm芯片 搭载产品超过100款

8月21日消息,据国外媒体报道,为苹果、AMD等众多公司代工芯片的台积电,近几年在芯片制程工艺方面走在....

08-21 10:42
0

外媒:台积电将为特斯拉代工汽车芯片HW 4.0 今年四季度开始生产

8月19日消息,据国外媒体报道,芯片代工商台积电庞大的客户群体将迎来一位非常有潜力的成员,他们在今....

08-19 14:09
0

台积电董事长刘德音:公司今年聘用8000人 比往年多一倍

8月19日消息,据台湾媒体报道,台积电董事长刘德音日前参加活动时表示,公司今年聘用8000人,比往年多....

08-19 13:36
0

台积电是上半年全球第三大半导体厂商 营收仅次于英特尔三星

8月13日消息,据国外媒体报道,为苹果、联发科、AMD等众多公司代工芯片的台积电,近几年在芯片工艺方面....

08-13 13:42
0

外媒:半导体初创企业弘芯和泉芯从台积电挖走100多名资深员工

8月12日消息,据国外媒体报道,知情人士称,中国大陆半导体初创企业弘芯和泉芯合计从台积电挖走100多名....

08-12 14:35
0

台积电:目前没有投资软银旗下芯片设计公司ARM计划

8月6日消息,据国外媒体报道,此前,知情人士透露,台积电有兴趣投资软银旗下芯片设计公司ARM。对此,....

08-06 13:38
0

外媒:软银已接触台积电和富士康 洽谈出售ARM事宜

8月6日消息,据国外媒体报道,正在寻求出售旗下芯片设计公司ARM的软银,已接触台积电和富士康,探讨他....

08-06 13:13
0

产业链人士:台积电内部认为英特尔芯片代工订单不会长久

7月28日消息,据国外媒体报道,在上周的二季度财报分析师电话会议上,芯片巨头英特尔宣布他们考虑将芯....

07-28 15:38
0

台积电股价连创新高 经营团队15人持股价值过亿

7月22日消息,据台湾媒体报道,台积电股价连创新高,经营团队身价也随着水涨船高。

07-22 13:42
0

台积电将推出4nm芯片制程工艺 计划2022年大规模量产

7月20日消息,据国外媒体报道,连续5年独家获得苹果A系列处理器代工订单的台积电,近几年在芯片制程工....

07-20 14:47
0

台积电:9月14日后或将断供华为

7月16日,在台积电二季度业绩说明会上,台积电透露,未计划在9月14日之后为华为继续供货。而美国政府5....

07-20 13:56
0
合作站点
stat
Baidu
map